Chronologic VCS (TM) Version O-2018.09-SP2_Full64 -- Sun Dec 21 20:41:05 2025 Copyright (c) 1991-2018 by Synopsys Inc. ALL RIGHTS RESERVED
This program is proprietary and confidential information of Synopsys Inc. and may be used and disclosed only as authorized in a license agreement controlling such use and disclosure.
Parsing design file '/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm/uvm_pkg.sv' Parsing included file '/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm/uvm_macros.svh'. ... ... ... Back to file 'top.sv'. Parsing design file 'env.sv' Parsing design file 'test.sv' Top Level Modules: top No TimeScale specified Starting vcs inline pass... 3 modules and 0 UDP read. However, due to incremental compilation, no re-compilation is necessary. rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so ld -shared -Bsymbolic -o .//../simv.daidir//_csrc0.so objs/amcQw_d.o rm -f _csrc0.so if [ -x ../simv ]; then chmod -x ../simv; fi g++ -o ../simv -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath=./simv.daidir/ -Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir -rdynamic -Wl,-rpath=/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/linux64/lib -L/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/linux64/lib /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/linux64/lib/vpdlogstub.o uvm_dpi.o _4568_archive_1.so _prev_archive_1.so _csrc0.so SIM_l.o _csrc0.so rmapats_mop.o rmapats.o rmar.o rmar_nd.o rmar_llvm_0_1.o rmar_llvm_0_0.o -lzerosoft_rt_stubs -lvirsim -lerrorinf -lsnpsmalloc -lvfs -lvcsnew -lsimprofile -luclinative /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/linux64/lib/vcs_tls.o -Wl,-whole-archive -lvcsucli -Wl,-no-whole-archive ./../simv.daidir/vc_hdrs.o /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o -ldl -lc -lm -lpthread -ldl ../simv up to date CPU time: 3.103 seconds to compile + .152 seconds to elab + .240 seconds to link
Chronologic VCS simulator copyright 1991-2018 Contains Synopsys proprietary information. Compiler version O-2018.09-SP2_Full64; Runtime version O-2018.09-SP2_Full64; Dec 22 17:22 2025 ---------------------------------------------------------------- UVM-1.1d.Synopsys (C) 2007-2013 Mentor Graphics Corporation (C) 2007-2013 Cadence Design Systems, Inc. (C) 2006-2013 Synopsys, Inc. (C) 2011-2013 Cypress Semiconductor Corp. ----------------------------------------------------------------
*********** IMPORTANT RELEASE NOTES ************
You are using a version of the UVM library that has been compiled with `UVM_NO_DEPRECATED undefined. See http://www.eda.org/svdb/view.php?id=3313 for more details.
You are using a version of the UVM library that has been compiled with `UVM_OBJECT_MUST_HAVE_CONSTRUCTOR undefined. See http://www.eda.org/svdb/view.php?id=3770 for more details.
(Specify +UVM_NO_RELNOTES to turn off this notice)
UVM_INFO @ 0: reporter [RNTST] Running test my_test... UVM_INFO env.sv(17) @ 0: uvm_test_top.env [env] Hello UVM!!!!! UVM_INFO env.sv(18) @ 0: uvm_test_top.env [env] Finishing. UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm/base/uvm_objection.svh(1273) @ 0: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase
--- UVM Report Summary ---
** Report counts by severity UVM_INFO : 4 UVM_WARNING : 0 UVM_ERROR : 0 UVM_FATAL : 0 ** Report counts by id [RNTST] 1 [TEST_DONE] 1 [env] 2 $finish called from file "/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm/base/uvm_root.svh", line 439. $finish at simulation time 0 V C S S i m u l a t i o n R e p o r t Time: 0 CPU Time: 0.230 seconds; Data structure size: 0.2Mb Mon Dec 22 17:22:34 2025
import uvm_pkg::*; `include "uvm_macros.svh" class mem_seq_item extends uvm_sequence_item; //control information rand bit [3:0] addr; rand bit wr_en; rand bit rd_en;
//payload information rand bit [7:0] wdata;
//analysis information bit [7:0] rdata;
//utility and field macros `uvm_object_utils_begin(mem_seq_item) `uvm_field_int(addr, UVM_ALL_ON) `uvm_field_int(wr_en, UVM_ALL_ON) `uvm_field_int(rd_en, UVM_ALL_ON) `uvm_field_int(wdata, UVM_ALL_ON) `uvm_object_utils_end
//constructor function new(string name = "mem_seq_item"); super.new(name); endfunction
//vonstraints, to generate any one among write and read constraint wr_rd_c {wr_en != rd_en;}; endclass
UVM sequence item methods
create(): create method allocates a new object(same type as input), return via a base uvm_object handle.
print(): The print method deep-prints this object’s properties in a format and manner governed by the given printer argument;
一个测试:
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
module seq_item_tb; mem_seq_item seq_item;
initial begin //create method seq_item = mem_seq_item::type_id::create();
//randmizing the seq_item seq_item.randomize();
//printing the seq_item seq_item.print(); end endmodule
You are using a version of the UVM library that has been compiled with `UVM_NO_DEPRECATED undefined. See http://www.eda.org/svdb/view.php?id=3313 for more details.
You are using a version of the UVM library that has been compiled with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined. See http://www.eda.org/svdb/view.php?id=3770 for more details.
(Specify +UVM_NO_RELNOTES to turn off this notice)
--------------------------------------- Name Type Size Value --------------------------------------- mem_seq_item mem_seq_item - @335 addr integral 4 'h9 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h6c --------------------------------------- V C S S i m u l a t i o n R e p o r t Time: 0 CPU Time: 0.210 seconds; Data structure size: 0.2Mb Wed Jan 14 22:54:54 2026
copy: copy make a object a copy of the specified object.
You are using a version of the UVM library that has been compiled with `UVM_NO_DEPRECATED undefined. See http://www.eda.org/svdb/view.php?id=3313 for more details.
You are using a version of the UVM library that has been compiled with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined. See http://www.eda.org/svdb/view.php?id=3770 for more details.
(Specify +UVM_NO_RELNOTES to turn off this notice)
------------------------------------- Name Type Size Value ------------------------------------- seq_item_0 mem_seq_item - @335 addr integral 4 'h9 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h6c ------------------------------------- ------------------------------------- Name Type Size Value ------------------------------------- seq_item_1 mem_seq_item - @339 addr integral 4 'h9 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h6c ------------------------------------- V C S S i m u l a t i o n R e p o r t Time: 0 CPU Time: 0.150 seconds; Data structure size: 0.2Mb Wed Jan 14 22:59:40 2026
initial begin //create method seq_item_0=mem_seq_item::type_id::create("seq_item_0"); seq_item_1=mem_seq_item::type_id::create("seq_item_1");
//mismatch case seq_item_0.randomize(); seq_item_1.randomize();
seq_item_0.print(); seq_item_1.print();
if(seq_item_0.compare(seq_item_1)) `uvm_info("", "seq_item_0 match with seq_item_1.", UVM_LOW) else `uvm_error("", "seq_item_0 is not match with seq_item_1.");
seq_item_1.copy(seq_item_0);
seq_item_0.print(); seq_item_1.print();
if(seq_item_0.compare(seq_item_1)) `uvm_info("", "seq_item_0 match with seq_item_1.", UVM_LOW) else `uvm_error("", "seq_item_0 is not match with seq_item_1.");
You are using a version of the UVM library that has been compiled with `UVM_NO_DEPRECATED undefined. See http://www.eda.org/svdb/view.php?id=3313 for more details.
You are using a version of the UVM library that has been compiled with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined. See http://www.eda.org/svdb/view.php?id=3770 for more details.
(Specify +UVM_NO_RELNOTES to turn off this notice)
------------------------------------- Name Type Size Value ------------------------------------- seq_item_0 mem_seq_item - @335 addr integral 4 'h9 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h6c ------------------------------------- ------------------------------------- Name Type Size Value ------------------------------------- seq_item_1 mem_seq_item - @339 addr integral 4 'h7 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h14 ------------------------------------- UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh(351) @ 0: reporter [MISCMP] Miscompare for seq_item_0.addr: lhs = 'h9 : rhs = 'h7 UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh(382) @ 0: reporter [MISCMP] 1 Miscompare(s) for object seq_item_1@339 vs. seq_item_0@335 UVM_ERROR test.sv(53) @ 0: reporter [] seq_item_0 is not match with seq_item_1. ------------------------------------- Name Type Size Value ------------------------------------- seq_item_0 mem_seq_item - @335 addr integral 4 'h9 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h6c ------------------------------------- ------------------------------------- Name Type Size Value ------------------------------------- seq_item_1 mem_seq_item - @339 addr integral 4 'h9 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h6c ------------------------------------- UVM_INFO test.sv(66) @ 0: reporter [] seq_item_0 match with seq_item_1. V C S S i m u l a t i o n R e p o r t Time: 0 CPU Time: 0.200 seconds; Data structure size: 0.2Mb Thu Jan 15 10:54:03 2026
You are using a version of the UVM library that has been compiled with `UVM_NO_DEPRECATED undefined. See http://www.eda.org/svdb/view.php?id=3313 for more details.
You are using a version of the UVM library that has been compiled with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined. See http://www.eda.org/svdb/view.php?id=3770 for more details.
(Specify +UVM_NO_RELNOTES to turn off this notice)
------------------------------------- Name Type Size Value ------------------------------------- seq_item_0 mem_seq_item - @335 addr integral 4 'h9 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h6c ------------------------------------- UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[0] = 1 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[1] = 0 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[2] = 0 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[3] = 1 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[4] = 1 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[5] = 0 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[6] = 0 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[7] = 1 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[8] = 1 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[9] = 0 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[10] = 1 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[11] = 1 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[12] = 0 UVM_INFO test.sv(51) @ 0: reporter [PACK] bit_packed_data[13] = 0 UVM_INFO test.sv(55) @ 0: reporter [UNPACK] Before unpack ------------------------------------- Name Type Size Value ------------------------------------- seq_item_1 mem_seq_item - @339 addr integral 4 'h0 wr_en integral 1 'h0 rd_en integral 1 'h0 wdata integral 8 'h0 ------------------------------------- UVM_INFO test.sv(60) @ 0: reporter [UNPACK] After unpack ------------------------------------- Name Type Size Value ------------------------------------- seq_item_1 mem_seq_item - @339 addr integral 4 'h9 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h6c ------------------------------------- V C S S i m u l a t i o n R e p o r t Time: 0 CPU Time: 0.190 seconds; Data structure size: 0.2Mb Thu Jan 15 11:45:13 2026
if(!uvm_config_db#(virtual mem_if)::get(this, "*", "mem_intf", mem_vif)) `uvm_fatal(get_full_name(), {"virtual interface must be set for:",".mem_vif"});
在 build_phase 中,从 uvm_config_db 获取 Top 层传递的实际物理接口实例。
1 2 3 4 5 6 7
function void build_phase(uvm_phase phase); super.build_phase(phase); if(!uvm_config_db#(virtual mem_if)::get(this, "", "vif", vif)) begin `uvm_fatal("NO_VIF", {"virtual interface must be set for: ", get_full_name(), ".vif"}); end endfunction : build_phase
function void build_phase(uvm_phase phase); super.build_phase(phase); if(!uvm_config_db#(virtual mem_if)::get(this, "", "vif", vif)) `uvm_fatal("NOVIF",{"virtual interface must be set for:",get_full_name(),".vif"}); endfunction:build_phase
// Placeholder to capture transaction information. mem_seq_item trans_collected;
`uvm_component_utils(mem_monitor)
// new - constructor function new (string name, uvm_component parent); super.new(name, parent); trans_collected = new(); item_collected_port = new("item_collected_port", this); endfunction : new
function void build_phase(uvm_phase phase); super.build_phase(phase); if(!uvm_config_db#(virtual mem_if)::get(this, "", "vif", vif)) `uvm_fatal("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"}); endfunction: build_phase
create agent components in build phase(depending on agent type)
1 2 3 4 5 6 7 8 9 10 11 12
//build phase function void build_phase(uvm_phase phase); super.build_phase(phase);
if(get_is_active() == UVM_ACTIVE) begin driver=mem_driver::type_id::create("driver",this); sequencer=mem_sequencer::typename::create("sequencer",this);
end monitor=mem_monitor::type_id::create("monitor",this); endfunction:build_phase
driver的seq_item_port连接到sequencer的seq_item_export
1 2 3 4 5 6 7
//connect_phase
function void connect_phase(uvm_phase, phase); if(get_is_active() == UVM_ACTIVE)begin driver.seq_item_port.connect(sequencer.seq_item_export); end endfunction:connect_phase
// connect_phase function void connect_phase(uvm_phase phase); if(get_is_active() == UVM_ACTIVE) begin driver.seq_item_port.connect(sequencer.seq_item_export); end endfunction : connect_phase
endclass : mem_agent
UVM scoreboard
uvm_component -> uvm_scoreboard.
write code:
extend uvm_scoreboard
1 2 3 4 5 6 7 8
class mem_scoreboard extends uvm_scoreboard; `uvm_component_utils(mem_scoreboard)
//constructor function new(string name, uvm_component parent); super.new(name, parent); endfunction:new endclass:mem_scoreboard
//enabling the wave dump initial begin uvm_config_db#(virtual mem_if)::set(uvm_root::get(), "*", "mem_intf",intf); $dumpfile("dump.vcd"); $dumpvars; end
`define DRIV_IF vif.DRIVER.driver_cb //不写这个的话每次赋值都要写一次vif.DRIVER.driver_cb class mem_driver extends uvm_driver#(mem_seq_item);
`uvm_component_utils(mem_driver)
//virtual interface virtual mem_if vif;
//uvm_analysis_port#(mem_seq_item) Drvr2Sb_port;
//constructor function new(string name, uvm_component parent); super.new(name, parent); endfunction:new
function void build_phase(uvm_phase phase); super.build_phase(phase);
if(!uvm_config_db#(virtual mem_if)::get(this, "", "vif", vif)) `uvm_fatal("NO_VIF",{"virtual interface must be set for:",get_full_name(),".vif"}); endfunction:build_phase
//run_phase virtual task run_phase(uvm_phase phase); forever begin seq_item_port.get_next_item(req); //respond_to_transfer(req); drive(); seq_item_port.item_done(); end
//Placeholder to capture transcation information mem_seq_item trans_collected;
//constructor function new(string name, uvm_component parent); super.new(name, parent); endfunction:new
//build_phase. //connect interface to virtual interface by using get method. function void build_phase(uvm_phase phase); super.build_phase(phase); item_collected_port=new("item_collected_port", this); if(!uvm_config_db#(virtual mem_if)::get(this, "","vif",vif)) `uvm_fatal("NO_VIF",{"virtual interface must be set for:",get_full_name(),".vif"}); endfunction:build_phase
if (vif.monitor_cb.wr_en) begin trans_collected.wr_en = 1; trans_collected.rd_en = 0; trans_collected.wdata = vif.monitor_cb.wdata; // 写操作通常在一个周期内采样完成 end else if (vif.monitor_cb.rd_en) begin trans_collected.rd_en = 1; trans_collected.wr_en = 0;
forever begin wait(pkt_qu.size() > 0); mem_pkt = pkt_qu.pop_front();
if(mem_pkt.wr_en) begin sc_mem[mem_pkt.addr] = mem_pkt.wdata; `uvm_info(get_type_name(),$sformatf("------ :: WRITE DATA :: ------"),UVM_LOW) `uvm_info(get_type_name(),$sformatf("Addr: %0h",mem_pkt.addr),UVM_LOW) `uvm_info(get_type_name(),$sformatf("Data: %0h",mem_pkt.wdata),UVM_LOW) `uvm_info(get_type_name(),"------------------------------------",UVM_LOW) end else if(mem_pkt.rd_en) begin if(sc_mem[mem_pkt.addr] == mem_pkt.rdata) begin `uvm_info(get_type_name(),$sformatf("------ :: READ DATA Match :: ------"),UVM_LOW) `uvm_info(get_type_name(),$sformatf("Addr: %0h",mem_pkt.addr),UVM_LOW) `uvm_info(get_type_name(),$sformatf("Expected Data: %0h Actual Data: %0h",sc_mem[mem_pkt.addr],mem_pkt.rdata),UVM_LOW) `uvm_info(get_type_name(),"------------------------------------",UVM_LOW) end else begin `uvm_error(get_type_name(),"------ :: READ DATA MisMatch :: ------") `uvm_info(get_type_name(),$sformatf("Addr: %0h",mem_pkt.addr),UVM_LOW) `uvm_info(get_type_name(),$sformatf("Expected Data: %0h Actual Data: %0h",sc_mem[mem_pkt.addr],mem_pkt.rdata),UVM_LOW) `uvm_info(get_type_name(),"------------------------------------",UVM_LOW) end end end endtask : run_phase
class mem_model_env extends uvm_env; mem_agent mem_agnt; mem_scoreboard mem_scb;
`uvm_component_utils(mem_model_env)
//constructor. function new(string name, uvm_component parent); super.new(name, parent); endfunction:new
//build_phase. function void build_phase(uvm_phase phase); super.build_phase(phase); mem_agnt=mem_agent::type_id::create("mem_agnt", this); mem_scb=mem_scoreboard::type_id::create("mem_scb",this); endfunction:build_phase
//connect_phase. function void connect_phase(uvm_phase phase); super.connect_phase(phase); if (mem_agnt == null) `uvm_fatal("NOCONN", "mem_agnt is null!") if (mem_agnt.monitor == null) `uvm_fatal("NOCONN", "mem_agnt.monitor is null! Check build_phase in mem_agent.") if (mem_scb == null) `uvm_fatal("NOCONN", "mem_scb is null!") mem_agnt.monitor.item_collected_port.connect(mem_scb.item_collected_export); endfunction:connect_phase endclass:mem_model_env
Chronologic VCS (TM) Version O-2018.09-SP2_Full64 -- Sun Jan 18 01:06:15 2026 Copyright (c) 1991-2018 by Synopsys Inc. ALL RIGHTS RESERVED
This program is proprietary and confidential information of Synopsys Inc. and may be used and disclosed only as authorized in a license agreement controlling such use and disclosure.
Parsing design file '/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv' Parsing included file '/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. ... ... ... recompiling module uvm_custom_install_recording recompiling module uvm_custom_install_verdi_recording recompiling module tbench_top 5 of 9 modules done However, due to incremental compilation, only 5 modules need to be compiled. rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so ld -shared -Bsymbolic -o .//../simv.daidir//_csrc0.so objs/amcQw_d.o rm -f _csrc0.so if [ -x ../simv ]; thenchmod -x ../simv; fi g++ -o ../simv -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath=./simv.daidir/ -Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir -rdynamic -Wl,-rpath=/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/linux64/lib -L/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/linux64/lib uvm_dpi.o uvm_verdi_dpi.o _23371_archive_1.so _prev_archive_1.so _csrc0.so SIM_l.o _csrc0.so rmapats_mop.o rmapats.o rmar.o rmar_nd.o rmar_llvm_0_1.o rmar_llvm_0_0.o -lzerosoft_rt_stubs -lvirsim -lerrorinf -lsnpsmalloc -lvfs -lvcsnew -lsimprofile -luclinative /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/linux64/lib/vcs_tls.o -Wl,-whole-archive -lvcsucli -Wl,-no-whole-archive ./../simv.daidir/vc_hdrs.o _vcs_pli_stub_.o /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o /opt/Synopsys/Verdi2018/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX64/pli.a -ldl -lc -lm -lpthread -ldl ../simv up to date CPU time: 7.380 seconds to compile + .231 seconds to elab + .335 seconds to link
You are using a version of the UVM library that has been compiled with `UVM_NO_DEPRECATED undefined. See http://www.eda.org/svdb/view.php?id=3313 for more details.
You are using a version of the UVM library that has been compiled with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined. See http://www.eda.org/svdb/view.php?id=3770 for more details.
(Specify +UVM_NO_RELNOTES to turn off this notice)
UVM_INFO @ 0: reporter [RNTST] Running test mem_model_test... ------------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------------ req mem_seq_item - @604 addr integral 4 'hb wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h55 begin_time time 64 0 depth int 32 'd2 parent sequence (name) string 9 wr_rd_seq parent sequence (full name) string 45 uvm_test_top.env.mem_agnt.sequencer.wr_rd_seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------------ req mem_seq_item - @634 addr integral 4 'hb wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h8a begin_time time 64 15 depth int 32 'd2 parent sequence (name) string 9 wr_rd_seq parent sequence (full name) string 45 uvm_test_top.env.mem_agnt.sequencer.wr_rd_seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 15: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=b, data=0 UVM_INFO scoreboard.sv(26) @ 15: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 15: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 15: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: b UVM_INFO scoreboard.sv(43) @ 15: uvm_test_top.env.mem_scb [mem_scoreboard] Data: 55 UVM_INFO scoreboard.sv(44) @ 15: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- UVM_INFO monitor.sv(60) @ 55: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=b, data=55 UVM_INFO scoreboard.sv(26) @ 55: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 55: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 55: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: b UVM_INFO scoreboard.sv(50) @ 55: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 55 Actual Data: 55 UVM_INFO scoreboard.sv(51) @ 55: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh(1276) @ 145: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh(705) @ 145: reporter [UVM/REPORT/CATCHER] --- UVM Report catcher Summary ---
Number of demoted UVM_FATAL reports : 0 Number of demoted UVM_ERROR reports : 0 Number of demoted UVM_WARNING reports: 0 Number of caught UVM_FATAL reports : 0 Number of caught UVM_ERROR reports : 0 Number of caught UVM_WARNING reports : 0
$finish called from file "/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh", line 527. $finish at simulation time 145 V C S S i m u l a t i o n R e p o r t Time: 145 CPU Time: 0.290 seconds; Data structure size: 0.5Mb Sun Jan 18 01:06:24 2026
You are using a version of the UVM library that has been compiled with `UVM_NO_DEPRECATED undefined. See http://www.eda.org/svdb/view.php?id=3313 for more details.
You are using a version of the UVM library that has been compiled with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined. See http://www.eda.org/svdb/view.php?id=3770 for more details.
(Specify +UVM_NO_RELNOTES to turn off this notice)
UVM_INFO @ 0: reporter [RNTST] Running test mem_model_test... ------------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------------ req mem_seq_item - @604 addr integral 4 'hb wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h55 begin_time time 64 0 depth int 32 'd2 parent sequence (name) string 9 wr_rd_seq parent sequence (full name) string 45 uvm_test_top.env.mem_agnt.sequencer.wr_rd_seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------------ req mem_seq_item - @634 addr integral 4 'hb wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h8a begin_time time 64 15 depth int 32 'd2 parent sequence (name) string 9 wr_rd_seq parent sequence (full name) string 45 uvm_test_top.env.mem_agnt.sequencer.wr_rd_seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 15: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=b, data=0 UVM_INFO scoreboard.sv(26) @ 15: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 15: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 15: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: b UVM_INFO scoreboard.sv(43) @ 15: uvm_test_top.env.mem_scb [mem_scoreboard] Data: 55 UVM_INFO scoreboard.sv(44) @ 15: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- UVM_INFO monitor.sv(60) @ 55: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=b, data=55 UVM_INFO scoreboard.sv(26) @ 55: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 55: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 55: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: b UVM_INFO scoreboard.sv(50) @ 55: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 55 Actual Data: 55 UVM_INFO scoreboard.sv(51) @ 55: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh(1276) @ 145: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh(705) @ 145: reporter [UVM/REPORT/CATCHER] --- UVM Report catcher Summary ---
Number of demoted UVM_FATAL reports : 0 Number of demoted UVM_ERROR reports : 0 Number of demoted UVM_WARNING reports: 0 Number of caught UVM_FATAL reports : 0 Number of caught UVM_ERROR reports : 0 Number of caught UVM_WARNING reports : 0
$finish called from file "/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh", line 527. $finish at simulation time 145 V C S S i m u l a t i o n R e p o r t Time: 145 CPU Time: 0.350 seconds; Data structure size: 0.5Mb Sun Jan 18 13:02:50 2026 Chronologic VCS simulator copyright 1991-2018 Contains Synopsys proprietary information. Compiler version O-2018.09-SP2_Full64; Runtime version O-2018.09-SP2_Full64; Jan 18 13:02 2026 UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh(402) @ 0: reporter [UVM/RELNOTES] ---------------------------------------------------------------- UVM-1.2.Synopsys (C) 2007-2014 Mentor Graphics Corporation (C) 2007-2014 Cadence Design Systems, Inc. (C) 2006-2014 Synopsys, Inc. (C) 2011-2013 Cypress Semiconductor Corp. (C) 2013-2014 NVIDIA Corporation ----------------------------------------------------------------
*********** IMPORTANT RELEASE NOTES ************
You are using a version of the UVM library that has been compiled with `UVM_NO_DEPRECATED undefined. See http://www.eda.org/svdb/view.php?id=3313 for more details.
You are using a version of the UVM library that has been compiled with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined. See http://www.eda.org/svdb/view.php?id=3770 for more details.
(Specify +UVM_NO_RELNOTES to turn off this notice)
UVM_INFO @ 0: reporter [RNTST] Running test mem_model_test2... ---------------------------------- Name Type Size Value ---------------------------------- req mem_seq_item - @604 addr integral 4 'hb wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h19 ---------------------------------- --------------------------------------------------- UVM_INFO monitor.sv(60) @ 35: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=b, data=ff UVM_INFO scoreboard.sv(26) @ 35: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 35: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 35: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: b UVM_INFO scoreboard.sv(50) @ 35: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 35: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh(1276) @ 125: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh(705) @ 125: reporter [UVM/REPORT/CATCHER] --- UVM Report catcher Summary ---
Number of demoted UVM_FATAL reports : 0 Number of demoted UVM_ERROR reports : 0 Number of demoted UVM_WARNING reports: 0 Number of caught UVM_FATAL reports : 0 Number of caught UVM_ERROR reports : 0 Number of caught UVM_WARNING reports : 0
$finish called from file "/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh", line 527. $finish at simulation time 125 V C S S i m u l a t i o n R e p o r t Time: 125 CPU Time: 0.280 seconds; Data structure size: 0.5Mb Sun Jan 18 13:02:50 2026
You are using a version of the UVM library that has been compiled with `UVM_NO_DEPRECATED undefined. See http://www.eda.org/svdb/view.php?id=3313 for more details.
You are using a version of the UVM library that has been compiled with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined. See http://www.eda.org/svdb/view.php?id=3770 for more details.
(Specify +UVM_NO_RELNOTES to turn off this notice)
UVM_INFO @ 0: reporter [RNTST] Running test mem_model_test3... ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @604 addr integral 4 'h2 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h1f begin_time time 64 0 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @638 addr integral 4 'h2 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h67 begin_time time 64 25 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 35: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=2, data=ff UVM_INFO scoreboard.sv(26) @ 35: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 35: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 35: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 2 UVM_INFO scoreboard.sv(50) @ 35: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 35: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @654 addr integral 4 'h2 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h8d begin_time time 64 45 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 45: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=2, data=0 UVM_INFO scoreboard.sv(26) @ 45: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 45: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 45: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 2 UVM_INFO scoreboard.sv(43) @ 45: uvm_test_top.env.mem_scb [mem_scoreboard] Data: 67 UVM_INFO scoreboard.sv(44) @ 45: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @678 addr integral 4 'ha wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h8d begin_time time 64 75 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 85: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=2, data=67 UVM_INFO scoreboard.sv(26) @ 85: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 85: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 85: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 2 UVM_INFO scoreboard.sv(50) @ 85: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 67 Actual Data: 67 UVM_INFO scoreboard.sv(51) @ 85: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @698 addr integral 4 'ha wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'hce begin_time time 64 105 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 115: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=a, data=ff UVM_INFO scoreboard.sv(26) @ 115: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 115: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 115: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: a UVM_INFO scoreboard.sv(50) @ 115: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 115: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @714 addr integral 4 'ha wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hb5 begin_time time 64 125 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 125: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=a, data=0 UVM_INFO scoreboard.sv(26) @ 125: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 125: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 125: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: a UVM_INFO scoreboard.sv(43) @ 125: uvm_test_top.env.mem_scb [mem_scoreboard] Data: ce UVM_INFO scoreboard.sv(44) @ 125: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @738 addr integral 4 'h9 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h78 begin_time time 64 155 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 165: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=a, data=ce UVM_INFO scoreboard.sv(26) @ 165: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 165: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 165: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: a UVM_INFO scoreboard.sv(50) @ 165: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ce Actual Data: ce UVM_INFO scoreboard.sv(51) @ 165: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @758 addr integral 4 'h9 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h96 begin_time time 64 185 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 195: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=9, data=ff UVM_INFO scoreboard.sv(26) @ 195: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 195: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 195: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 9 UVM_INFO scoreboard.sv(50) @ 195: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 195: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @774 addr integral 4 'h9 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h94 begin_time time 64 205 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 205: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=9, data=0 UVM_INFO scoreboard.sv(26) @ 205: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 205: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 205: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 9 UVM_INFO scoreboard.sv(43) @ 205: uvm_test_top.env.mem_scb [mem_scoreboard] Data: 96 UVM_INFO scoreboard.sv(44) @ 205: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @798 addr integral 4 'he wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h47 begin_time time 64 235 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 245: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=9, data=96 UVM_INFO scoreboard.sv(26) @ 245: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 245: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 245: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 9 UVM_INFO scoreboard.sv(50) @ 245: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 96 Actual Data: 96 UVM_INFO scoreboard.sv(51) @ 245: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @818 addr integral 4 'he wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'he0 begin_time time 64 265 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 275: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=e, data=ff UVM_INFO scoreboard.sv(26) @ 275: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 275: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 275: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: e UVM_INFO scoreboard.sv(50) @ 275: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 275: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @834 addr integral 4 'he wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hcc begin_time time 64 285 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 285: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=e, data=0 UVM_INFO scoreboard.sv(26) @ 285: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 285: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 285: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: e UVM_INFO scoreboard.sv(43) @ 285: uvm_test_top.env.mem_scb [mem_scoreboard] Data: e0 UVM_INFO scoreboard.sv(44) @ 285: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @858 addr integral 4 'h7 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hee begin_time time 64 315 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 325: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=e, data=e0 UVM_INFO scoreboard.sv(26) @ 325: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 325: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 325: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: e UVM_INFO scoreboard.sv(50) @ 325: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: e0 Actual Data: e0 UVM_INFO scoreboard.sv(51) @ 325: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @878 addr integral 4 'h7 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'hd3 begin_time time 64 345 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 355: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=7, data=ff UVM_INFO scoreboard.sv(26) @ 355: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 355: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 355: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 7 UVM_INFO scoreboard.sv(50) @ 355: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 355: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @894 addr integral 4 'h7 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hd6 begin_time time 64 365 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 365: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=7, data=0 UVM_INFO scoreboard.sv(26) @ 365: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 365: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 365: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 7 UVM_INFO scoreboard.sv(43) @ 365: uvm_test_top.env.mem_scb [mem_scoreboard] Data: d3 UVM_INFO scoreboard.sv(44) @ 365: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @918 addr integral 4 'h7 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h82 begin_time time 64 395 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 405: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=7, data=d3 UVM_INFO scoreboard.sv(26) @ 405: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 405: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 405: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 7 UVM_INFO scoreboard.sv(50) @ 405: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: d3 Actual Data: d3 UVM_INFO scoreboard.sv(51) @ 405: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @938 addr integral 4 'h7 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h93 begin_time time 64 425 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 435: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=7, data=d3 UVM_INFO scoreboard.sv(26) @ 435: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 435: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 435: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 7 UVM_INFO scoreboard.sv(50) @ 435: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: d3 Actual Data: d3 UVM_INFO scoreboard.sv(51) @ 435: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @954 addr integral 4 'h7 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h21 begin_time time 64 445 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 445: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=7, data=0 UVM_INFO scoreboard.sv(26) @ 445: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 445: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 445: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 7 UVM_INFO scoreboard.sv(43) @ 445: uvm_test_top.env.mem_scb [mem_scoreboard] Data: 93 UVM_INFO scoreboard.sv(44) @ 445: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @978 addr integral 4 'h8 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h84 begin_time time 64 475 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 485: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=7, data=93 UVM_INFO scoreboard.sv(26) @ 485: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 485: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 485: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 7 UVM_INFO scoreboard.sv(50) @ 485: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 93 Actual Data: 93 UVM_INFO scoreboard.sv(51) @ 485: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @998 addr integral 4 'h8 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'hf6 begin_time time 64 505 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 515: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=8, data=ff UVM_INFO scoreboard.sv(26) @ 515: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 515: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 515: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 8 UVM_INFO scoreboard.sv(50) @ 515: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 515: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1014 addr integral 4 'h8 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hb5 begin_time time 64 525 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 525: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=8, data=0 UVM_INFO scoreboard.sv(26) @ 525: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 525: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 525: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 8 UVM_INFO scoreboard.sv(43) @ 525: uvm_test_top.env.mem_scb [mem_scoreboard] Data: f6 UVM_INFO scoreboard.sv(44) @ 525: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1038 addr integral 4 'h0 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h7f begin_time time 64 555 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 565: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=8, data=f6 UVM_INFO scoreboard.sv(26) @ 565: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 565: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 565: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 8 UVM_INFO scoreboard.sv(50) @ 565: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: f6 Actual Data: f6 UVM_INFO scoreboard.sv(51) @ 565: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1058 addr integral 4 'h0 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h14 begin_time time 64 585 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 595: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=0, data=ff UVM_INFO scoreboard.sv(26) @ 595: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 595: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 595: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 0 UVM_INFO scoreboard.sv(50) @ 595: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 595: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1074 addr integral 4 'h0 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h60 begin_time time 64 605 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 605: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=0, data=0 UVM_INFO scoreboard.sv(26) @ 605: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 605: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 605: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 0 UVM_INFO scoreboard.sv(43) @ 605: uvm_test_top.env.mem_scb [mem_scoreboard] Data: 14 UVM_INFO scoreboard.sv(44) @ 605: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1098 addr integral 4 'hd wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h6f begin_time time 64 635 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 645: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=0, data=14 UVM_INFO scoreboard.sv(26) @ 645: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 645: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 645: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 0 UVM_INFO scoreboard.sv(50) @ 645: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 14 Actual Data: 14 UVM_INFO scoreboard.sv(51) @ 645: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1118 addr integral 4 'hd wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'ha1 begin_time time 64 665 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 675: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=d, data=ff UVM_INFO scoreboard.sv(26) @ 675: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 675: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 675: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: d UVM_INFO scoreboard.sv(50) @ 675: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 675: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1134 addr integral 4 'hd wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h60 begin_time time 64 685 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 685: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=d, data=0 UVM_INFO scoreboard.sv(26) @ 685: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 685: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 685: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: d UVM_INFO scoreboard.sv(43) @ 685: uvm_test_top.env.mem_scb [mem_scoreboard] Data: a1 UVM_INFO scoreboard.sv(44) @ 685: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1158 addr integral 4 'h8 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h41 begin_time time 64 715 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 725: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=d, data=a1 UVM_INFO scoreboard.sv(26) @ 725: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 725: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 725: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: d UVM_INFO scoreboard.sv(50) @ 725: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: a1 Actual Data: a1 UVM_INFO scoreboard.sv(51) @ 725: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1178 addr integral 4 'h8 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'hbc begin_time time 64 745 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 755: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=8, data=f6 UVM_INFO scoreboard.sv(26) @ 755: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 755: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 755: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 8 UVM_INFO scoreboard.sv(50) @ 755: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: f6 Actual Data: f6 UVM_INFO scoreboard.sv(51) @ 755: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1194 addr integral 4 'h8 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h19 begin_time time 64 765 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 765: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=8, data=0 UVM_INFO scoreboard.sv(26) @ 765: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 765: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 765: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 8 UVM_INFO scoreboard.sv(43) @ 765: uvm_test_top.env.mem_scb [mem_scoreboard] Data: bc UVM_INFO scoreboard.sv(44) @ 765: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1218 addr integral 4 'h9 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h6d begin_time time 64 795 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 805: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=8, data=bc UVM_INFO scoreboard.sv(26) @ 805: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 805: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 805: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 8 UVM_INFO scoreboard.sv(50) @ 805: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: bc Actual Data: bc UVM_INFO scoreboard.sv(51) @ 805: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1238 addr integral 4 'h9 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'hf3 begin_time time 64 825 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 835: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=9, data=96 UVM_INFO scoreboard.sv(26) @ 835: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 835: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 835: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 9 UVM_INFO scoreboard.sv(50) @ 835: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 96 Actual Data: 96 UVM_INFO scoreboard.sv(51) @ 835: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1254 addr integral 4 'h9 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h40 begin_time time 64 845 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 845: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=9, data=0 UVM_INFO scoreboard.sv(26) @ 845: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 845: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 845: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 9 UVM_INFO scoreboard.sv(43) @ 845: uvm_test_top.env.mem_scb [mem_scoreboard] Data: f3 UVM_INFO scoreboard.sv(44) @ 845: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1278 addr integral 4 'hf wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h85 begin_time time 64 875 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 885: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=9, data=f3 UVM_INFO scoreboard.sv(26) @ 885: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 885: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 885: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 9 UVM_INFO scoreboard.sv(50) @ 885: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: f3 Actual Data: f3 UVM_INFO scoreboard.sv(51) @ 885: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1298 addr integral 4 'hf wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'hac begin_time time 64 905 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 915: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=f, data=ff UVM_INFO scoreboard.sv(26) @ 915: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 915: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 915: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: f UVM_INFO scoreboard.sv(50) @ 915: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 915: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1314 addr integral 4 'hf wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h45 begin_time time 64 925 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 925: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=f, data=0 UVM_INFO scoreboard.sv(26) @ 925: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 925: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 925: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: f UVM_INFO scoreboard.sv(43) @ 925: uvm_test_top.env.mem_scb [mem_scoreboard] Data: ac UVM_INFO scoreboard.sv(44) @ 925: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1338 addr integral 4 'h4 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hcd begin_time time 64 955 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 965: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=f, data=ac UVM_INFO scoreboard.sv(26) @ 965: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 965: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 965: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: f UVM_INFO scoreboard.sv(50) @ 965: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ac Actual Data: ac UVM_INFO scoreboard.sv(51) @ 965: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1358 addr integral 4 'h4 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'hf6 begin_time time 64 985 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 995: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=4, data=ff UVM_INFO scoreboard.sv(26) @ 995: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 995: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 995: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 4 UVM_INFO scoreboard.sv(50) @ 995: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 995: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1374 addr integral 4 'h4 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hf7 begin_time time 64 1005 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1005: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=4, data=0 UVM_INFO scoreboard.sv(26) @ 1005: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 1005: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 1005: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 4 UVM_INFO scoreboard.sv(43) @ 1005: uvm_test_top.env.mem_scb [mem_scoreboard] Data: f6 UVM_INFO scoreboard.sv(44) @ 1005: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1398 addr integral 4 'h3 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h89 begin_time time 64 1035 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1045: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=4, data=f6 UVM_INFO scoreboard.sv(26) @ 1045: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1045: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1045: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 4 UVM_INFO scoreboard.sv(50) @ 1045: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: f6 Actual Data: f6 UVM_INFO scoreboard.sv(51) @ 1045: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1418 addr integral 4 'h3 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h14 begin_time time 64 1065 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1075: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=3, data=ff UVM_INFO scoreboard.sv(26) @ 1075: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1075: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1075: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 3 UVM_INFO scoreboard.sv(50) @ 1075: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 1075: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1434 addr integral 4 'h3 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h50 begin_time time 64 1085 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1085: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=3, data=0 UVM_INFO scoreboard.sv(26) @ 1085: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 1085: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 1085: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 3 UVM_INFO scoreboard.sv(43) @ 1085: uvm_test_top.env.mem_scb [mem_scoreboard] Data: 14 UVM_INFO scoreboard.sv(44) @ 1085: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1458 addr integral 4 'hd wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h74 begin_time time 64 1115 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1125: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=3, data=14 UVM_INFO scoreboard.sv(26) @ 1125: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1125: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1125: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 3 UVM_INFO scoreboard.sv(50) @ 1125: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 14 Actual Data: 14 UVM_INFO scoreboard.sv(51) @ 1125: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1478 addr integral 4 'hd wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h3d begin_time time 64 1145 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1155: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=d, data=a1 UVM_INFO scoreboard.sv(26) @ 1155: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1155: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1155: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: d UVM_INFO scoreboard.sv(50) @ 1155: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: a1 Actual Data: a1 UVM_INFO scoreboard.sv(51) @ 1155: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1494 addr integral 4 'hd wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hcc begin_time time 64 1165 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1165: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=d, data=0 UVM_INFO scoreboard.sv(26) @ 1165: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 1165: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 1165: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: d UVM_INFO scoreboard.sv(43) @ 1165: uvm_test_top.env.mem_scb [mem_scoreboard] Data: 3d UVM_INFO scoreboard.sv(44) @ 1165: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1518 addr integral 4 'h6 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h57 begin_time time 64 1195 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1205: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=d, data=3d UVM_INFO scoreboard.sv(26) @ 1205: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1205: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1205: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: d UVM_INFO scoreboard.sv(50) @ 1205: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 3d Actual Data: 3d UVM_INFO scoreboard.sv(51) @ 1205: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1538 addr integral 4 'h6 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h85 begin_time time 64 1225 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1235: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=6, data=ff UVM_INFO scoreboard.sv(26) @ 1235: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1235: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1235: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 6 UVM_INFO scoreboard.sv(50) @ 1235: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ff Actual Data: ff UVM_INFO scoreboard.sv(51) @ 1235: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1554 addr integral 4 'h6 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hc3 begin_time time 64 1245 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1245: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=6, data=0 UVM_INFO scoreboard.sv(26) @ 1245: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 1245: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 1245: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 6 UVM_INFO scoreboard.sv(43) @ 1245: uvm_test_top.env.mem_scb [mem_scoreboard] Data: 85 UVM_INFO scoreboard.sv(44) @ 1245: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1578 addr integral 4 'hf wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hde begin_time time 64 1275 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1285: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=6, data=85 UVM_INFO scoreboard.sv(26) @ 1285: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1285: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1285: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 6 UVM_INFO scoreboard.sv(50) @ 1285: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 85 Actual Data: 85 UVM_INFO scoreboard.sv(51) @ 1285: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1598 addr integral 4 'hf wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'hfc begin_time time 64 1305 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1315: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=f, data=ac UVM_INFO scoreboard.sv(26) @ 1315: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1315: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1315: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: f UVM_INFO scoreboard.sv(50) @ 1315: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ac Actual Data: ac UVM_INFO scoreboard.sv(51) @ 1315: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1614 addr integral 4 'hf wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h5c begin_time time 64 1325 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1325: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=f, data=0 UVM_INFO scoreboard.sv(26) @ 1325: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 1325: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 1325: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: f UVM_INFO scoreboard.sv(43) @ 1325: uvm_test_top.env.mem_scb [mem_scoreboard] Data: fc UVM_INFO scoreboard.sv(44) @ 1325: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1638 addr integral 4 'h6 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hac begin_time time 64 1355 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1365: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=f, data=fc UVM_INFO scoreboard.sv(26) @ 1365: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1365: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1365: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: f UVM_INFO scoreboard.sv(50) @ 1365: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: fc Actual Data: fc UVM_INFO scoreboard.sv(51) @ 1365: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1658 addr integral 4 'h6 wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'hc0 begin_time time 64 1385 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1395: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=6, data=85 UVM_INFO scoreboard.sv(26) @ 1395: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1395: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1395: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 6 UVM_INFO scoreboard.sv(50) @ 1395: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 85 Actual Data: 85 UVM_INFO scoreboard.sv(51) @ 1395: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1674 addr integral 4 'h6 wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h6f begin_time time 64 1405 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1405: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=6, data=0 UVM_INFO scoreboard.sv(26) @ 1405: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 1405: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 1405: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 6 UVM_INFO scoreboard.sv(43) @ 1405: uvm_test_top.env.mem_scb [mem_scoreboard] Data: c0 UVM_INFO scoreboard.sv(44) @ 1405: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1698 addr integral 4 'ha wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'hde begin_time time 64 1435 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1445: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=6, data=c0 UVM_INFO scoreboard.sv(26) @ 1445: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1445: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1445: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: 6 UVM_INFO scoreboard.sv(50) @ 1445: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: c0 Actual Data: c0 UVM_INFO scoreboard.sv(51) @ 1445: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1718 addr integral 4 'ha wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'h73 begin_time time 64 1465 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1475: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=a, data=ce UVM_INFO scoreboard.sv(26) @ 1475: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1475: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1475: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: a UVM_INFO scoreboard.sv(50) @ 1475: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: ce Actual Data: ce UVM_INFO scoreboard.sv(51) @ 1475: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1734 addr integral 4 'ha wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h18 begin_time time 64 1485 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1485: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=a, data=0 UVM_INFO scoreboard.sv(26) @ 1485: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 1485: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 1485: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: a UVM_INFO scoreboard.sv(43) @ 1485: uvm_test_top.env.mem_scb [mem_scoreboard] Data: 73 UVM_INFO scoreboard.sv(44) @ 1485: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1758 addr integral 4 'he wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'ha2 begin_time time 64 1515 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1525: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=a, data=73 UVM_INFO scoreboard.sv(26) @ 1525: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1525: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1525: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: a UVM_INFO scoreboard.sv(50) @ 1525: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: 73 Actual Data: 73 UVM_INFO scoreboard.sv(51) @ 1525: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1778 addr integral 4 'he wr_en integral 1 'h1 rd_en integral 1 'h0 wdata integral 8 'ha6 begin_time time 64 1545 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1555: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=e, data=e0 UVM_INFO scoreboard.sv(26) @ 1555: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1555: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1555: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: e UVM_INFO scoreboard.sv(50) @ 1555: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: e0 Actual Data: e0 UVM_INFO scoreboard.sv(51) @ 1555: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- ------------------------------------------------------------------------------------------ Name Type Size Value ------------------------------------------------------------------------------------------ req mem_seq_item - @1794 addr integral 4 'he wr_en integral 1 'h0 rd_en integral 1 'h1 wdata integral 8 'h19 begin_time time 64 1565 depth int 32 'd2 parent sequence (name) string 3 seq parent sequence (full name) string 39 uvm_test_top.env.mem_agnt.sequencer.seq sequencer string 35 uvm_test_top.env.mem_agnt.sequencer ------------------------------------------------------------------------------------------ UVM_INFO monitor.sv(60) @ 1565: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=e, data=0 UVM_INFO scoreboard.sv(26) @ 1565: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(41) @ 1565: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: WRITE DATA :: ------ UVM_INFO scoreboard.sv(42) @ 1565: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: e UVM_INFO scoreboard.sv(43) @ 1565: uvm_test_top.env.mem_scb [mem_scoreboard] Data: a6 UVM_INFO scoreboard.sv(44) @ 1565: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ --------------------------------------------------- UVM_INFO monitor.sv(60) @ 1605: uvm_test_top.env.mem_agnt.monitor [MON_DEBUG] Monitor write: addr=e, data=a6 UVM_INFO scoreboard.sv(26) @ 1605: uvm_test_top.env.mem_scb [SCB_DEBUG] Data recived in scoreboard. UVM_INFO scoreboard.sv(48) @ 1605: uvm_test_top.env.mem_scb [mem_scoreboard] ------ :: READ DATA Match :: ------ UVM_INFO scoreboard.sv(49) @ 1605: uvm_test_top.env.mem_scb [mem_scoreboard] Addr: e UVM_INFO scoreboard.sv(50) @ 1605: uvm_test_top.env.mem_scb [mem_scoreboard] Expected Data: a6 Actual Data: a6 UVM_INFO scoreboard.sv(51) @ 1605: uvm_test_top.env.mem_scb [mem_scoreboard] ------------------------------------ UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh(1276) @ 1695: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase UVM_INFO /opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh(705) @ 1695: reporter [UVM/REPORT/CATCHER] --- UVM Report catcher Summary ---
Number of demoted UVM_FATAL reports : 0 Number of demoted UVM_ERROR reports : 0 Number of demoted UVM_WARNING reports: 0 Number of caught UVM_FATAL reports : 0 Number of caught UVM_ERROR reports : 0 Number of caught UVM_WARNING reports : 0
$finish called from file "/opt/Synopsys/VCS2018/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh", line 527. $finish at simulation time 1695 V C S S i m u l a t i o n R e p o r t Time: 1695 CPU Time: 0.390 seconds; Data structure size: 0.5Mb Sun Jan 18 13:27:07 2026